说明: 说明: 说明: 说明: 说明: NICS

Publications

 A. Journals 

  1. Yongpan Liu, Yiqun Wang, Hengyu Long, Huazhong Yang, “Lifetime-Aware Battery Allocation for Wireless Sensor Network under Cost Constraints”, To appear in IEICE Trans. On Comminication, 2012. (pdf)
  2. Yongpan LiuShuangchen Li, Jue Wang, Huazhong Yang, “An Energy Efficient Sensor Network Processor with Latency-Aware Adaptive Compression”,  IEICE Trans. on Electronics 94-C(7): 1220-1228 (2011) (pdf)
  3. Yongpan Liu, Huazhong Yang, “Temperature-Aware Leakage Estimation Using Piecewise Linear Power Models”, IEICE Trans. on Electronics, 2010, Vol.E93-C, p3300-3315. (pdf)
  4. Li Li, Yongpan Liu, Huazhong Yang, and Hui Wang, “Lightweight Precision-Adaptive Time Synchronization  in Wireless Sensor Networks”, IEICE Trans. on Communication, Vol.E93.B, No.9. (2010), 2299-2308.
  5. Beihua Ying, Yongpan Liu, Huazhong Yang and Hui Wang, “Evaluation of Tunable Data Compression in Energy-Aware Wireless Sensor Networks”, Sensors, 2010, 10(4):3195-3217.
  6. Ying B, Liu Y, Wang H. “Improved adaptive compression arbitration system for wireless sensor networks.”, Journal of Tsinghua Science & Technology, 2010, 15(2):202-208.
  7. Li Li, Yongpan Liu, Huazhong Yang,  Rong Luo and Hui Wang, “Accelerating Design for Distributed Time Synchronization Protocol in Wireless Sensor Network”, Journal of Electronics & Information Technology, Vol.32(9), 2010, 2045-2051.
  8. Tian Z.X, Liu Y. P, Yang H. Z, Wang H. Markov Chain Monte Charlo Sampling Based Power Network Analysis. Microelectronics & Computer Vol.25 No.12 Dec. 2008 1-4.
  9. Shaohua Wang, Guangming Yu, Yongpan  Liu , Huazhong Yang, Low noise fully digitally controlled LC oscillator with back-to-back in series MOS varactors, Journal of Tsinghua University (Science and Technology), 48(7) 2008. 
  10. Shaohua Wang, Guangming Yu, Yongpan Liu, Huazhong Yang, A Low Noise, Low Power Fully Digitally Controlled LC Oscillator for PHS Transceivers, Chinese Journal of Semiconductors, 2007, 28(11): 1836-1842
  11. Yongpan Liu, Huazhong Yang, Rong Luo, Hui Wang, “Thermal-Aware Floorplanning Considering Empty Space Effect Based on Genetic Algorithms”, Chinese Journal of Electronics, 2007English Version). (SCI-E)
  12. Yongpan Liu, Huazhong Yang, Hui Wang, A Thermal-Conscious Integrated Circuits Power Model and Its Impacts on Dynamic Voltage Scaling, To appear in Chinese Journal of Semiconductors, 2007. (EI)
  13. Tian Z X, Liu Y P, Yang H Z. Combined novel gate level model and critical primary input sharing for genetic algorithm based maximum power supply noise estimation. Chinese Journal of Semiconductors, 2007, 28(9): 1375-1080
  14. Tian Z X, Liu Y P, Yang H Z and Wang H. A hybrid genetic algorithm with critical primary inputs sharing and minor primary inputs bits climbing for circuit maximum power estimation. In: Proceeding of the 3rd International Conference on Natural Computation (ICNC'07), 2007, Vol.4183-187
  15. Tian Z X, Yang H Z, Liu Y P. A novel stepwise genetic algorithm for VLSI cycle maximum power estimation. GESTS  Trans. on Computer Science and Engineering, 200737(1): 152-162
  16. 罗嵘,陈亦波,刘勇攀,  “基于Linux操作系统的移动网络无缝切换技术”, 电子与信息学报, vol.27., p.195-99, Dec. 2005.
  17. 刘勇攀,罗嵘,史峥,杨华中,汪蕙,严晓浪,异步电路的设计方法及其应用,微电子学, vol.32., No.6, p. 457-61, Dec. 2002.

 

 

 

  B. Conference

  1. Zili Shao, Yongpan Liu, Yiran Chen, Tao Li Utilizing PCM for Energy Optimization in Embedded Systems To appear in ISVLSI 2012 (pdf)
  2. Yiqun Wang, Yongpan Liu, Shuangchen Li, Daming Zhang, Hongyang Jia, Huazhong Yang, Mei-fang Chiang, Yan-xin Yan and Baiko Sai An Ultra Energy Efficient Nonvolatile Processor for Self-powered Sensor Platforms, ISLPED 2012 Design Contest Winner (pdf)
  3. Yiqun Wang, Yongpan Liu, Shuangchen Li, Daming Zhang, Baiko Sai, Meifang Jiang, Yanxin Yan, Huazhong Yang, A 3us Wakeup Time Nonvolatile Processor Based on Ferroelectric Flip-Flops, To appear in ESSCRIC 2012. (pdf)
  4. Yongpan Liu, Yiqun Wang, Hongyang Jia, Shan Su, Jinghuan Wen, Wenzhu Zhang, Lin Zhang, Huazhong Yang, An Energy Harvesting Nonvolatile Sensor Node and Its Application to Distributed Moving Object Detection, IPSN 2012. (pdf)
  5. Yiqun Wang, Yongpan Liu, Yumeng Liu, Daming Zhang, Shuangchen Li, Baiko Sai, Meifang Jiang, Huazhong Yang, A Compression-based Area-efficient Recovery Architecture for Nonvolatile Processors,  DATE 2012. (pdf)
  6. Shuangchen Li, Yongpan Liu, Daming Zhang, Xinyu He, Pei Zhang A hierarchical C2RTL Framework for FIFO-connected Stream Applications, ASP-DAC 2012. (pdf)
  7. Yinan Sun, Yongpan Liu, Xiaohan, Wang, Hongliang Xu , Huazhong Yang: Design methodology of multistage time-domain logic speculation circuits. ISCAS 2011: 1944-1947 (pdf)
  8. Yihao Zhu, Yongpan Liu, Daming Zhang, Shuangchen Li, Pei Zhang, Tedd Hadley, “Acceleration of Pedestrian Detection Algorithm on Novel C2RTL HW/SW Co-design Platform.”, Shanghai, June, ICGCS 2010, p615-619.
  9. Jue Wang, Yongpan Liu, Huazhong Yang and Hui Wang, “A Compare- and-write Ferroelectric Nonvolatile Flip-Flop for Energy-Harvesting Applications.”, Shanghai, June, ICGCS 2010, p646-650. (pdf)
  10. Xiaohui Cheng, Hongxian Guo, Yongpan Liu, Huazhong Yang, “Risk   Management in Smart Civil Infrastructure.”, Shanghai, June, ICGCS 2010, p655-659.
  11. Yongpan Liu, Yinan Sun, Yihao Zhu, Huazhong Yang, "Design Methodology of Variable Latency Adders with Multistage Function Speculation", To appear in ISQED2010, San Jose, 2010  (pdf)
  12. Yongpan Liu, Yihao Zhu, Sunny Zhang, Senjie Zhang, Huazhong Yang, "Acceleration Of Pedestrian Detection Algorithm on Multi-Core Vehicle Computing Platform", To appear in Information, Computing and Telecommunications, Beijing, 2009
  13. Hengyu Long, Yongpan Liu, Yiqun Wang, Robert P. Dick, Huazhong Yang, "Battery Allocation for Wireless Sensor Network Lifetime Maximization Under Cost Constraints",  p.705-712, ICCAD 2009  (pdf)
  14. Bo Zhao, Yongpan Liu, Pengpeng Chen, Tao Chen, Huazhong Yang, Hui Wang, "An Ultra-Low-Supply Dual-Band VCO for Wireless Sensor Networks",  ICCCAS 2009, p. 797-801.
  15. Jue Wang, Beihua Ying, Yongpan Liu, Huazhong Yang, Hui Wang, “Energy Efficient Architecture of Sensor Network Node Based on Compression Accelerator”, p.117--120, Boston, GLSVLSI, 2009.  (pdf)
  16. Hengyu Long, Yongpan Liu, Robert P. Dick, Huazhong Yang, “Energy-Efficient Spatially-Adaptive Clustering and Routing in Wireless Wireless Sensor Networks”,  p.1267-1272, Nice, DATE, 2009.  (pdf)
  17. Beihua Ying, Wei Liu, Yongpan Liu, Huazhong Yang, Hui Wang, “Energy-efficient Node-level Compression Arbitration for Wireless Sensor Networks”, ICACT2008, p. 564-568, 2008.
  18. Li Li, Yongpan Liu, Huazhong Yang, Hui Wang, “A Precision Adaptive  Average  Time  Synchronization Protocol  in  Wireless  Sensor  Network”, ICIA2008p65-70, 2008.
  19. Yongpan Liu, Robert Dick, Li Shang, Huazhong Yang, “Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation is Easy”, p.1526-1532 , DATE 2007, Nice, France.
  20. Yongpan Liu, Huazhong Yang, Robert Dick, Hui Wang, Li Shang, “Thermal vs Energy Optimization for DVFS-enabled Processors in Embedded Systems”, p. 204- 209 , ISQED 2007, San Jose, USA. (Accepted Ratio 33%Oral presentationTop-Level Conference)
  21. Yongpan Liu, Huazhong Yang, Rong Luo, Hui Wang, “Combining Genetic Algorithms Based Task Mapping and Optimal Voltage Selection for Energy Efficient Distributed System Synthesis”, Proceedings of ICCCAS06, p. 2074-2078, 2006. (Oral presentation, ISTP, Inspec)
  22. Yongpan Liu, Yu Wang, Feng Zhang, Rong Luo, Hui Wang, “A New Thermal-Conscious System-Level Methodology for Energy-Efficient Processor Voltage Selection”, Proceedings of APCCAS06, p. 970-973, 2006.
  23. Yu Wang, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang, “Two-phase Fine -grain Sleep Transistor Insertion Technique in Leakage Critical Circuits”, Proceedings of ISLPED06, p.238-43, 2006. (ISTP, Top-Level Conference)
  24. Changbo Long, Jinjun Xiong, Yongpan Liu, “Techniques of Power Gating to Kill Sub-Threshold Leakage”, Proceedings of APCCAS06, p. 954-957, 2006. (Oral presentation)
  25. Feng Zhang, Rong Luo, Yongpan Liu, Hui Wang, Huazhong Yang, “Leakage Power Modeling Method for SRAM Considering Temperature, Supply Voltage and Bias Voltage”, Proceedings of the 8th ICSICT, p. 1180-1182, 2006.
  26. Yu Wang, Yongpan Liu, Rong Luo, Huazhong Yang “Genetic Algorithm based Fine -grain Sleep Transistor Insertion Technique for Leakage Optimization”, Proceedings of ICNC06., p. 716-25, 2006. (SCI-E, EI)
  27. Yongpan Liu, Huazhong Yang, Rong Luo, Hui Wang, “A Hierarchical Approach for Incremental Floorplan Based on Genetic Algorithms”, ICNC 2005, August 27-29, LNCS 3612, p. 219-24. Springer, 2005. (Accept ratio blow 30%, SCI-E, EI)
  28. Yongpan Liu, Huazhong Yang, Rong Luo, “An Incremental Floorplanner Based on Genetic Algorithm for IP-based SOC Synthesis”, Proceedings of ASICON03, p. 331-4, Vol.1, 2003.(ISTP)

   C. Patents

  1. Accurate Temperature-Dependent Integrated Circuit Leakage Power Estimation, US. Patent, Pending, 2007.
  2. 200810102069.X     一种为集成电路IP市场服务的交易平台 
  3. 200810225577.7     一种自适应划分簇的方法及系统 
  4. 200810238934.3     一种用于无线传感器网络降低能耗的压缩判决方法 
  5. 200910119715.8     一种能量分配的方法和装置 
  6. 200910091060.8     一种增加铁电非挥发触发器使用寿命的电路 

 

 

 

    D. Book Chapters

1.  Yongpan Liu, Shuangchen Li, Huazhong Yang, Pei Zhang, A Hierarchical C2RTL Framework for Hardware Configurable Embedded Systems”, in "Embedded System / Book 1", ISBN 979-953-307-580-7. INTECH Press, 2012. (pdf)

2.      罗嵘,汪玉,刘勇攀,“数字原理与设计”, 清华大学出版社, 2006.10

3.      罗嵘,刘伟,罗洪,刘勇攀,“现代逻辑设计”, 电子工业出版社, 2006.3

4.      清华大学电子系. 技术报告:面向多媒体即时通讯的智能终端. 上海:NUEDC Sep. 2004.